Вопросы по теме 'pwm'

Странное поведение VHDL-PWM и физические ограничения верхнего / нижнего уровня
Я пытаюсь сгенерировать пикосекундный сигнал PWM с помощью платы Spartan 3e в VHDL (Xilinx ISE + ISim). library ieee; use ieee.std_logic_1164.all; entity pwm is port(clk : in std_logic; pwm_out : buffer std_logic); end entity;...
951 просмотров
schedule 22.09.2022

Beaglebone PWM ограничен 100 Гц?
Я использую Beaglebone, а не Beaglebone Black. Я только что попытался вывести ШИМ и ожидал потенциальные частоты в несколько мегагерц на таком быстром устройстве. Я обнаружил, что 100 Гц — это точный максимум, который я могу установить через sysfs....
5181 просмотров
schedule 14.04.2024